Wednesday 29 November 2017

Liikkuva Keskiarvo Vhdl


Minulla on kysymys, joka liittyy jatkuvaan keskimääritykseen ADCs arvo Käytetty lähestymistapa on jatkuva keskimäärin esimerkki 256 näytettä Alla olevassa koodissa näkyvä advaout arvo, joka saan GUI: sani kasvaa hitaasti Esimerkiksi, jos odotan arvoa 100mA, Oma GUI näyttää 4mA, 8mA, 15mA ja sitten lopulta 2 minuutin jälkeen saan vakaa 100mA arvo Haluan nähdä 100mA suoraan minun GUI alkaen adcaout sijaan lisäys arvoja ja vakauttaminen jälkeen joskus Toinen kysymys on se, voinko jotenkin tehdä tämän prosessin nopea niin, että en tarvitse odottaa 3 minuuttia vakaiden 100 mA: n saamiseksi adcaoutista. Kellokello on digitaalisessa kuvassa alla 20 MHz. Kello vastaanottaa ADC-arvot FPGA-levylle on 15 KHz .-- tiedosto on alla. Koodisi on muutettu seuraamalla. Lopullinen tuotos, jota katson minun GUI: llä on slvvalue1 ja slvvalue2. Miten tämä palautetaan tai milloin tahansa jos haluat, anna datain-arvon kaikkiin elementteihin sinä vaiheessa. heti asetettu keskimääräinen arvo nykyiseen arvoon. Alla oleva esimerkki näyttää liikkuvan keskiarvon laskimen täydellisen koodin. Minun ehdotukseni on, että opiskelet sitä, kunnes ymmärrät sen. Sitten yritä käyttää sitä suunnittelussa. Lopuksi ja vasta sen jälkeen kun peruspiiri toimii , voit muuttaa sen tyydyttämään suunnittelurajoituksesi datan leveyden, näytteiden lukumäärän, kokonaislukujen lukumäärän, allekirjoitetun kokonaissumman käytön jne. Lopuksi, jos haluat käyttää yllä mainittua koodia kahden erillisen keskiarvon säilyttämiseksi kahdelle erilliselle signaalille, kirjoita instantiate keskiarvoyksikkö kahdesti. Muokkaa Koska ymmärrän kommenttisi, saatat tarvita ylimääräistä tuloa, jos haluat asettaa keskimääräisen hetkellisen hetkelliseen syöttöarvoon. Tässä tapauksessa voit käyttää kuormitusta alla olevan kuvan mukaisesti. vastattu 26.11. klo 15 45.Olen yrittänyt kirjoittaa VHDL liikkuvan keskimäärin tasaisesti painotettu moduuli, joka käyttää FSMD ata Mitä ymmärrän, tarvittavat valtiot olisivat jotain kuin hakea, jakaa, tuottaa Alla on prosessi, jonka kirjoitin, mutta tunnen, että minun logiikka on vähän pois Huomautus tha t tiedot I m ​​keskimäärin on vain vakio joukko 8 bittisiä numeroita, joten ajattelin, että pitäisi olla hyvä käyttää ei-kausaalinen design. The data on 64 merkinnät, ja tällä hetkellä ikkuna keskimäärin on 4.How väärä tekee tämän look. A joitakin ongelmia näen heti. You don t uudelleen alustaa temp anywhere. You don t ole mitään raja tarkastuksia count on se alatyyppi tai vain luonnollinen kokonaisluku Mitä tapahtuu kuvion lasketaan i, kun lähestyt raja Miten rullaa. Your for-loop on 0 - len - oletko varma, että et tarkoita 0 len - 1. Koska koko valtion dekoodausprosessi on kello, et t todella tarvitse nstate lainkaan Huomaa, että olet ei edes alustaa Cstate, mutta olet edelleen dekoodaamalla se Joko tehdä oman tilanne dekoodata erillinen yhdistelmä prosessi tai vain päästä eroon nstate ja siirtää cstate suoraan. Muuten se riippuu suunnittelutavoitteista Jos et välitä suorituskyvyn, mutta tarvitset suorita hyvin korkea kellotaajuus, saatat haluta suorittaa lisäyksen peräkkäin sijaan samaan aikaan, esimerkiksi. vastattu syyskuu 5 14 klo 13 32.thanks, joka varmasti tekee asioita paremmin laskeman rollover, en ole varma, miten tehdä sen, koska jokaisen kuvion laskea jopa 63, haluan näyttää sen arvo ja ikkunan keskiarvo sen ympärillä, joten jos i rollover on maakunnassa, se ei saisi mennä rajojen ulkopuolelle, mutta se ei näytä täydellisiä tietoja, jos olisin tekemässä kausaalista toteutusta minulla olisi jonkin verran viivettä alussa, on se samanlainen asia, jota tarvitsen täällä, mutta lopussa käyttäjä1710566 Syyskuu 5 14 klo 23 23. minun ratkaisu oli elsif CLK tapahtuma sitten jos count 64 sitten laskea 0 muut laskea loppua jos silmukan silmukka i laittaa poistua, jos i oli suurempi kuin 63 user1710566 6.9.2010 klo 0 05.Moving Avergare Suodatin MAF vuonna VHDL 2008 DE0-NANO kanssa FPGA Cyclone IV. This suodatin toimii kiinteällä pisteellä, että bittien määrä riippuu ADC. Ka Moving Average Filter. A Moving Average Suodatin on digitaalinen suodatin, jonka keskimääräinen tulo on viimeinen M 1 termi, kuten seuraava expresion. Usin g toinen suoramuoto voimme määritellä hn kuten tämä hnxnh n-1.So yn voidaan ilmaista tässä waw ynhn-h nM M 1.Suodatin suodatin. Yleinen hierarkia on, että määritellään kaikki suodattimen s rakenne. To luodaan h n-1 h n nm, viivästymisiä käytetään flip-flopien avulla. Voit suorittaa tämän toiminnon tällä hetkellä. Voit kirjautua sisään toiseen välilehteen tai ikkunaan Lataa päivitä istuntoosi Olet kirjautunut toiseen välilehteen tai ikkunaan Lataa päivitä istunto.

No comments:

Post a Comment